From: Nestor Ovroy Date: Fri, 18 Jan 2013 15:51:03 +0000 (+0100) Subject: regmap: fix small typo in regmap_bulk_write comment X-Git-Tag: v3.8-rc5~7^2~1 X-Git-Url: https://openfabrics.org/gitweb/?a=commitdiff_plain;h=31b35e9edd51cab96d880248206c90b7177e3e5c;p=~emulex%2Finfiniband.git regmap: fix small typo in regmap_bulk_write comment Signed-off-by: Nestor Ovroy Signed-off-by: Mark Brown --- diff --git a/drivers/base/regmap/regmap.c b/drivers/base/regmap/regmap.c index 42d5cb0f503..f00b059c057 100644 --- a/drivers/base/regmap/regmap.c +++ b/drivers/base/regmap/regmap.c @@ -1106,7 +1106,7 @@ EXPORT_SYMBOL_GPL(regmap_raw_write); * @val_count: Number of registers to write * * This function is intended to be used for writing a large block of - * data to be device either in single transfer or multiple transfer. + * data to the device either in single transfer or multiple transfer. * * A value of zero will be returned on success, a negative errno will * be returned in error cases.